在线视频国产欧美另类,偷拍亚洲一区一区二区三区,日韩中文字幕在线视频,日本精品久久久久中文字幕

<small id="qpqhz"></small>
  • <legend id="qpqhz"></legend>

      <td id="qpqhz"><strong id="qpqhz"></strong></td>
      <small id="qpqhz"><menuitem id="qpqhz"></menuitem></small>
    1. led實(shí)習(xí)報(bào)告樣本

      時間:2021-06-10 08:46:12 實(shí)習(xí)報(bào)告 我要投稿

      led實(shí)習(xí)報(bào)告樣本

        篇一:LED實(shí)習(xí)報(bào)告

      led實(shí)習(xí)報(bào)告樣本

        LED實(shí)習(xí)報(bào)告

        學(xué) 院:光電與通信學(xué)院

        專業(yè)班級:光信1班

        姓 名:馬鑫

        學(xué) 號:1210062127

        實(shí)習(xí)時間:20xx年7月8日——20xx年7月10日 實(shí)習(xí)地點(diǎn):廈門集美職業(yè)技術(shù)學(xué)校

        實(shí)習(xí)心得:

        紙上得來終覺淺,絕知此事要躬行。讀萬卷書,行萬里路。我們應(yīng)當(dāng)抓住一切機(jī)會鍛煉自己,在實(shí)踐中去感受,體會,理解和運(yùn)用所學(xué)知識。進(jìn)行了為期四天的實(shí)習(xí),思考良多、感觸良多、收獲良多,在很多方面都有很大的收獲。此次實(shí)習(xí)老師帶領(lǐng)我們來到了廈門集美職業(yè)技術(shù)學(xué)校進(jìn)行四天LED實(shí)訓(xùn),在這短短的四天里,我們不僅在認(rèn)識上更上一層樓,而且在知識上也有一定的提高,同時讓我們看到了差距,冷卻了我們學(xué)習(xí)知識的浮躁心理,提高了我們的學(xué)習(xí)熱情。相信這次實(shí)習(xí)給我們帶來的經(jīng)歷一定可以為我們將來的學(xué)習(xí)和生活提供很大的幫助。認(rèn)識實(shí)習(xí)是教學(xué)計(jì)劃主要部分,它是培養(yǎng)學(xué)生的實(shí)踐等解決實(shí)際問題的第二課堂,它是專業(yè)知識培養(yǎng)的搖籃,也是對工業(yè)生產(chǎn)流水線的直接認(rèn)識與認(rèn)知。實(shí)習(xí)中應(yīng)該深入實(shí)際,認(rèn)真觀察,獲取直接經(jīng)驗(yàn)知識,鞏固所學(xué)基本理論,保質(zhì)保量的完成指導(dǎo)老師所布置任務(wù)。學(xué)習(xí)工人師傅和工程技術(shù)人員的勤勞刻苦的優(yōu)秀品質(zhì)和敬業(yè)奉獻(xiàn)的良好作風(fēng),培養(yǎng)我們的實(shí)踐能力和創(chuàng)新能力,開拓我們的視野,培養(yǎng)生產(chǎn)實(shí)際中研究、觀察、分析、解決問題的能力。

        我認(rèn)為,通過這次實(shí)習(xí),使自己對所學(xué)專業(yè)的認(rèn)識更加明確,學(xué)習(xí)方向與奮斗目標(biāo)更加清晰,學(xué)習(xí)態(tài)度更加端正。在日常學(xué)習(xí)中主要還要靠自己用心去學(xué),不懂的主動問,不要等別人來教你,還有自己誠心一點(diǎn),人家自然會愿意教的。我想在我以后有機(jī)會進(jìn)入公司實(shí)習(xí)的時候一定要用心的去學(xué),絕對不能浪費(fèi)寶貴的機(jī)會。剛剛進(jìn)入企業(yè)的大學(xué)生,可能會不適應(yīng)企業(yè)的有些地方,特別是有些大學(xué)生總是想去改變什么。但這個時候我們是沒有發(fā)言權(quán)的,公司也不會去聽取一個新來的大學(xué)生的意見。很多大學(xué)生會因此而跳槽,到頭來沒有固定工作也沒有積累經(jīng)驗(yàn)。剛剛進(jìn)入公司的三年一定要沉住氣,潛心學(xué)習(xí),向老師傅們學(xué)習(xí)技能,掌握方法,要刻意的去鍛煉自己的寫作能力,多寫少說。對于自己不適應(yīng)的要努力去適應(yīng)它。我們這個專業(yè)目前的就業(yè)形勢,很多人都認(rèn)為我們這個專業(yè)目前就業(yè)前景很好,如果我們必

        學(xué)好專業(yè)知識,就能脫穎而出。反之,也不用太過悲觀,畢竟專業(yè)的好壞對于未來的工作而言只是起點(diǎn)低了一點(diǎn)而已,到時候只要自己用心學(xué),也不會比別人差,盡管,剛出來工作的基本上還是先靠技術(shù)的。我們也討論了在應(yīng)聘的時候,公司看重的是什么。對于公司來說,當(dāng)然希望找一些能夠?yàn)楣編砝娴娜瞬,對于公司,學(xué)歷并不一定代表一切,能力才是最重要的,比如說自己做成了一個案例,這比學(xué)歷更有說服力。同樣的,公司的經(jīng)理也讓我們多注意運(yùn)動興趣的培養(yǎng),因?yàn)槲磥淼墓ぷ鳝h(huán)境可能很枯燥,有些公司也會舉辦運(yùn)動上的比賽。

        感謝學(xué)校給我們這次寶貴的實(shí)習(xí)經(jīng)驗(yàn),同時也要感謝老師對我們的細(xì)心指導(dǎo)。本次實(shí)習(xí)所學(xué)到的這些知識很多是我個人在學(xué)校很少接觸、注意的,但在實(shí) 際的學(xué)習(xí)與工作中又是十分重要、十分基礎(chǔ)的知識。通過本次實(shí)習(xí)我不但積累了 許多經(jīng)驗(yàn),還使我在實(shí)踐中得到了鍛煉。這段經(jīng)歷使我明白了“紙上得來終覺淺, 絕知此事要躬行”的真正含義從書本上得到的知識終歸是淺薄的,未能理 解知識的真諦,要真正理解書中的深刻道理,必須親身去躬行實(shí)踐

        A. LED 封裝工藝流程

        一、LED 封裝的任務(wù) 是將外引線連接到 LED 芯片的電極上,同時保護(hù)好 LED 芯片,并且起 到提高 出效率的作用。 關(guān)鍵工序:裝架、壓焊。

        二、LED 封裝形式 根據(jù)不同的應(yīng)用場合、不同的外形尺寸、散熱方案和發(fā)光效果。LED 封裝 形式 多樣。 目前, LED 按封裝形式分類主要有 Lamp-LED、 TOP-LED、 Side-LED、 SMD-L High-Power-LED、Flip Chip-LED 等。按照封裝方式分有灌膠封裝、模壓封 裝、點(diǎn) 裝等。小功率 LED 多采用的灌膠封裝方式,也就是直插式 Lamp-LED。

        三、LED 封裝工藝流程

        1、芯片檢驗(yàn) (1)材料表面是否有機(jī)械損傷及麻點(diǎn)麻坑 (2)芯片尺寸及電極大小是否符合工藝要求 (3)電極圖案是否完整 不合格芯片要剔除。

        2、擴(kuò)片 由于 LED 芯片在劃片后依然排列緊密間距很小,不利于后工序的操作。采 用擴(kuò)片機(jī) 對黏結(jié)芯片的膜進(jìn)行擴(kuò)張,使得 LED 芯片的間距拉伸到適合刺晶的距離。 3點(diǎn)膠

        點(diǎn)膠是在 LED 支架的相應(yīng)位置點(diǎn)上銀膠或絕緣膠以固定芯片。 對于 GaAs、 SiC 導(dǎo)電 襯底,具有背面電極的紅光、黃光、黃綠芯片,采用具有導(dǎo)電功能的銀膠; 對于藍(lán)寶石 絕緣襯底的藍(lán)光、綠光 LED 芯片,則采用絕緣膠。 點(diǎn)膠工藝難點(diǎn)在于點(diǎn)膠量的控制,在膠體高度、點(diǎn)膠位置均有詳細(xì)的工藝 要求。

        4、裝架 裝架也叫刺晶或固晶,手工刺晶是將擴(kuò)張后 LED 芯片(備膠或未備膠)安 置在刺片 臺的夾具上,LED 支架放在夾具底下,在顯微鏡下用針將 LED 芯片一個 一個刺到相應(yīng) 的位置上。而自動裝架其實(shí)是結(jié)合了點(diǎn)膠和安裝芯片兩大步驟,先在 LED 支架上點(diǎn)上 粘結(jié)膠,然后用真空吸嘴將 LED 芯片吸起移動位置,再安置在相應(yīng)的支架 位置上。 自動裝架的效率要遠(yuǎn)高于手工刺晶,但手工刺晶和自動裝架相比有一個好 處,便于 隨時更換不同的芯片,適用于需要安裝多種芯片的產(chǎn)品。

        5、裝架后鏡檢 這一步的鏡檢是為了剔除和補(bǔ)刺裝架失效的晶片,如漏裝、倒片斜片、多 片、疊片 等情況。

        6、燒結(jié)

        在裝架結(jié)束后要進(jìn)行燒結(jié)工作,燒結(jié)的目的是使粘結(jié)膠固化,燒結(jié)要求對 溫度進(jìn)行 監(jiān)控,防止批次性不良。

        7、燒結(jié)后鏡檢 這一步的鏡檢是為了剔除和補(bǔ)刺裝架燒結(jié)后失效的晶片,如固騙、固漏、 固斜、少 膠、多晶、芯片破損、短墊(電極脫落)、芯片翻轉(zhuǎn)、銀膠高度超過芯片的 1/3(多膠)、晶 片粘膠、焊點(diǎn)粘膠等情況。

        8、壓焊 壓焊的目的將電極引到 LED 芯片上,完成產(chǎn)品內(nèi)外引線的連接工作。LED 的壓焊工 藝常見的有金絲球焊和鋁絲壓焊兩種。鋁絲壓焊的過程是先在 LED 芯片 電極上壓上第 一點(diǎn),再將鋁絲拉到相應(yīng)的支架上方,壓上第二點(diǎn)后扯斷鋁絲。金絲球焊 過程則在壓第 一點(diǎn)前先燒個球,其余過程類似。 壓焊是 LED 封裝技術(shù)中的關(guān)鍵環(huán)節(jié), 工藝上主要需要監(jiān)控的是壓焊金絲 (鋁 絲)拱 絲形狀,焊點(diǎn)形狀,拉力。對壓焊工藝的深入研究涉及到多方面的問題, 如金(鋁)絲 材料、超聲功率、壓焊壓力、劈刀(鋼嘴)選用、劈刀(鋼嘴)運(yùn)動軌跡 等等。

        9、壓焊后鏡檢 一般焊線不良品:晶片破損、掉晶、掉晶電極、交晶、晶片翻轉(zhuǎn)、電極粘 膠、銀膠 過多超過晶片、銀膠過少(幾乎沒有)、塌線、虛焊、死線焊、反線、漏焊、 弧度高和低、 斷線、焊球過大或小。

        10、封裝 LED 的封裝主要有點(diǎn)膠、灌封、模壓三種。基本上工藝控制的難點(diǎn)是氣泡、 多缺料、 黑點(diǎn)。 設(shè)計(jì)上主要是對材料的選型, 選用結(jié)合良好的環(huán)氧和支架。 TOP-LED 和 Side-LED 適用點(diǎn)膠封裝。手動點(diǎn)膠封裝對操作水平要求很高,特別是白光 LED,主 要難點(diǎn)是對點(diǎn) 膠量的控制。 Lamp-LED 的封裝采用灌封的形式。 灌封的過程是先在 LED 成型模腔內(nèi)注 入液態(tài)環(huán) 氧,然后插入壓焊好的 LED 支架,放入烘箱讓環(huán)氧固化后,將 LED 從模 腔中脫出即成 型。 模壓封裝是將壓焊好的 LED 支架放入模具中, 將上下兩副模具用液壓機(jī)合 模并抽真 空,將固態(tài)環(huán)氧放入注膠道的入口加熱用液壓頂桿壓入模具膠道中,環(huán)氧 順著膠道進(jìn)入 各個 LED 成型槽中并固化。

        11、固化 固化是將封裝環(huán)氧進(jìn)行固化。

        12、后固化 后固化是為了讓環(huán)氧充分固化,同時對 LED 進(jìn)行熱老化。后固化對于提高 環(huán)氧與支 架(PCB)的粘接強(qiáng)度非常重要。

        13、切筋和劃片 由于 LED 在生產(chǎn)中是連在一起的,在使用時我們需要進(jìn)行切筋操作,將連 在一起的 LED 分成單獨(dú)的個體。 Lamp 封裝 LED 采用切筋切斷 LED 支架的連筋。 SMD-LED 則是在一片 PCB 板上,需要劃片機(jī)來完成分離工作。

        14、測試 測試 LED 的光電參數(shù)、檢驗(yàn)外形尺寸,對 LED 產(chǎn)品進(jìn)行分選。按不同類 型的晶片, 設(shè)定后電壓、電流標(biāo)準(zhǔn)。測試雙色產(chǎn)品時先按同一顏色的部分再測另一顏 色部分以免產(chǎn) 生漏測現(xiàn)象。

        15、包裝 將成品進(jìn)行計(jì)數(shù)包裝。超高亮 LED 需要防靜電包裝。

        B、質(zhì)量品質(zhì)監(jiān)控及其措施

        1 靜電的產(chǎn)生 靜電并不是靜止的電荷,自然規(guī)律總是試圖將正電荷和負(fù)電荷保持平衡。 理想的物體是應(yīng)保持不帶電的中性狀態(tài)。任何一種材料都可能帶靜電,而 產(chǎn) 生靜電最普通的方式就是感應(yīng)和摩擦起電。

        (1 )感應(yīng)起電 在實(shí)裝車間里,有很多帶電操作過程,這難免在其周圍產(chǎn)生強(qiáng)電場,當(dāng) 一塊印制板置于電場時,板子上的某中性導(dǎo)體就會在電場力的作用下,電 子 定向移動。若是在正電荷形成的電場中,靠近正電荷方向感應(yīng)出負(fù)電荷, 而 另一端則是感應(yīng)出正電荷,這時若將該導(dǎo)體移出外電場并將它們分成兩部 分。 則一部分會因缺少電子而感應(yīng)出正電荷,相反另一部分則為感應(yīng)出負(fù)電荷。

        (2) 摩擦生電 摩擦是產(chǎn)生靜電的主要方法。當(dāng)兩個物體緊密接觸,然后再分開時,一 個物

        體的表面就會失去電子而帶正電荷數(shù)目保持相等,甚至差值可能為零。 在兩個物體分離之后,各自表面將保持其正電荷或負(fù)電荷。

        2 靜電的危害

        每件東西和物體,包括人的走動,機(jī)械部件的運(yùn)動,還有液體的流動,用 手 去觸摸東西都可能產(chǎn)生靜電荷。當(dāng)一個靜電荷聚集在一個敏感產(chǎn)品上,工 作表面 時,設(shè)備上或附于人體時它會產(chǎn)生極大的破壞性。產(chǎn)品可能遭受損壞,工 序可能 因此降低,可能列出一長串其它壞結(jié)果。 2.1 靜電放電(ESDESDESDESD) 當(dāng)某些電解質(zhì)、導(dǎo)體帶上靜電荷后,盡管所帶的電荷量不多,但由于自身 對 大地分布電容非常小,使得靜電電位較高。當(dāng)垂直于帶電物體表面的靜電 電位高 于 2500 伏時,可向空氣中放電。 大規(guī)模生產(chǎn)、包裝和測試過程中,靜電放電時對電子裝置造成的危害是無 須 置疑的。隨著對器件的容限要求的提高,電路尺寸已不斷的減小,但這也 使器件 對靜電放電危害的承受力將下降。特別人為越來越低的工作電壓所設(shè)計(jì)的 電路 中,微小的電荷就能導(dǎo)致器件損壞。 2.2 靜電對電子元器件的危害 靜電的作用同樣表現(xiàn)在對細(xì)微塵粒的吸附作用。靜電引力對微小塵粒的影 響 是很強(qiáng)的,一旦這些細(xì)微顆粒被吸到帶電表面,就很難使其脫離。 由于現(xiàn)代家電產(chǎn)品也是向超于小體積、多功能、快速度的集成化方向發(fā) 展,這種高度集成電路要求線路間距盡可能短,線路面積盡可能的小,同 時 也因?yàn)榫距縮小、耐壓降低、線路面積減小,耐流容量減少,受靜電影響 則更大,元器件更容易被擊穿。

        3 靜電控制

        選擇靜電控制方法的重要考慮之一,就是看帶電材料是否屬于導(dǎo)體或 絕緣體,如果導(dǎo)體能夠接地的話其上的靜電可以很容易的得到控制,使得 靜電荷可以順暢的傳入地下或從地下傳來。當(dāng)導(dǎo)體接地時,它的`所有電荷 都被中和,因而它將保持低電位。但是因?yàn)殡姾蔁o法通過絕緣體,所以對 絕緣體接地就沒有用。把絕緣體接地?zé)o法消除靜電。

        4靜電控制原理

        靜電控制方面的措施有很多,從控制原理上講主要分以下幾個方面:

        (1)靜電泄漏 將各種操作運(yùn)行過程中產(chǎn)生的靜電荷迅速泄漏是防止靜電危害行之有 效的方法。靜電泄漏是通過替換電子生產(chǎn)過程中接觸到的各種絕緣物,而 改用防靜電材料并使之接地來完成的。

        (2)靜電中和 靜電中和是消除靜電的重要措施之一。在某些場合中,當(dāng)不便使用 ESD 防護(hù)材料時,或必須將某些高絕緣易產(chǎn)生靜電的用品存放在工作臺和工作 線上時,為了保證產(chǎn)品質(zhì)量就必須對操作環(huán)境采取靜電中和措施。靜電中 和是借助靜電離子消除器或感應(yīng)式靜電刷來實(shí)現(xiàn)的。

        (3)靜電屏蔽與接地 靜電屏蔽與接地通常用于高壓電源產(chǎn)生的靜電場屏蔽、某些對靜電敏 感電路的屏蔽,從而避免靜電場對 ESDS 器件和 ESDS 組件的感應(yīng)和靜 電 放電產(chǎn)生的寬頻帶干擾。

        5、人體 ESD 防護(hù)用品 (1)ESD 防護(hù)工作服(又叫防靜電工作服) (2)ESD 防護(hù)鞋(防靜電鞋) (3)防靜電腕帶和腳帶 (4)ESD 防護(hù)指套 人體防靜電用品 3.2.2 電子工業(yè)生產(chǎn)環(huán)境中的 ESD 防護(hù)裝備 (1)ESD 防護(hù)工作臺 (2)分路棒、線夾、導(dǎo)電泡沫材料 (3)ESD 防護(hù)地板 (4)各類 ESD 防護(hù)包裝和容器 (5)ESD 防護(hù)轉(zhuǎn)運(yùn)車、坐椅 (6)電離靜電消除器(電離器)

        篇二:LED實(shí)習(xí)報(bào)告

        電子信息工程系09級學(xué)生實(shí)習(xí)報(bào)告

        畢業(yè)實(shí)習(xí)是每個大學(xué)畢業(yè)生必修的一門課程,也是十分重要的一門人生必修課.這短短的幾個月,我獲益匪淺,也為畢業(yè)后正式走進(jìn)社會做了很好的準(zhǔn)備。為了拓展自身的知識面,擴(kuò)大與社會的接觸面,增加個人在社會競爭中的經(jīng)驗(yàn),鍛煉和提高自己的能力,以便在以后畢業(yè)后能真正走入社會所以我找了南寧銘誠恒創(chuàng)電子有限責(zé)任公司。該公司專業(yè)從事LED產(chǎn)品生產(chǎn)、銷售、安裝及維護(hù)的高新技術(shù)企業(yè)。公司主要生產(chǎn)LED點(diǎn)陣、LED數(shù)碼管、LED像素模塊、LED室內(nèi)外全彩屏、顯示屏等。而我在專業(yè)學(xué)習(xí)中對LED發(fā)光管是有所接觸的。

        第一天去上班,看著房間里各種各樣的儀器,當(dāng)時真有種無從下手的感覺,不知道應(yīng)該站在哪,干什么,還好很快主管就拿來關(guān)于LED調(diào)試的相關(guān)軟件說明書,學(xué)《LED演播室》和相關(guān)的LED控制卡。先讓我們熟悉,后來讓我邊學(xué)邊動手,首先我們要把樣品進(jìn)行安裝點(diǎn)亮并調(diào)節(jié),然后在系統(tǒng)里對一些參數(shù)進(jìn)行設(shè)置,剛開始的時候有點(diǎn)手忙腳亂由于后來心態(tài)的調(diào)整和熟練了,慢慢地學(xué)得差不多了,適應(yīng)期也過了,以后的工作就忙碌了起來,從生產(chǎn)線上過來的產(chǎn)品都要送過來進(jìn)行檢測,這個是產(chǎn)品進(jìn)入市場很重要的一個環(huán)節(jié),質(zhì)量必須要保證,不能馬虎,這就使我學(xué)會了辦事情謹(jǐn)慎、認(rèn)真、仔細(xì)。在這期間我們自己也出外安裝一些LED室內(nèi)外全彩屏、顯示屏等,并對一些有故障的顯示屏進(jìn)行維修。

        有一名話叫做:不經(jīng)過風(fēng)雨,怎么見彩虹?我想改一下:不真正進(jìn)入社會,怎能了解社會呢?

        在工作中我學(xué)會了溝通,學(xué)會處理好身邊的人際關(guān)系,學(xué)會在苦中作樂的技巧,每天都反復(fù)的做那份工作是枯燥的,如果沒有同身邊的同事溝通,處理好身邊的人際關(guān)系,一個人是很孤獨(dú),這就讓我懂得了人際關(guān)系的重要性,一個好的人緣將會給我們的工作帶來了無限的方便和歡樂。

        知識的積累也是非常重要的。知識猶如人的血液。人缺少了血液,身體就會衰弱,人缺少了知識,頭腦就要枯竭。在這次實(shí)踐中,我感受很深的一點(diǎn)是,在學(xué)校,理論的學(xué)習(xí)很多,而且是多方面的,幾乎是面面俱到;而在實(shí)際工作中,可能會遇到書本上沒學(xué)到的,又可能是書本上的知識一點(diǎn)都用不上的情況。或許

        工作中運(yùn)用到的只是很簡單的問題,只要套公式似的就能完成一項(xiàng)任務(wù)。有時候我會埋怨,實(shí)際操作這么簡單,但為什么書本上的知識讓人學(xué)得這么吃力呢?這是社會與學(xué)校脫軌了嗎?雖然大學(xué)生活不像踏入社會,但是總算是社會的一個部分,這是不可否認(rèn)的事實(shí)。但是我想有些問題有了課堂上地認(rèn)真消化,有了平時作業(yè)做補(bǔ)充,才能具有更高的起點(diǎn),有了更多的知識層面才能應(yīng)付各種工作上的問題,只有對其各方面都有深入的了解,才能更好地應(yīng)用于工作中。

        這次親身體驗(yàn)讓我有了深刻感觸,這不僅是一次實(shí)踐,還是一次人生經(jīng)歷,是一生寶貴的財(cái)富。在今后我要參加更多的社會實(shí)踐,磨練自己的同時讓自己認(rèn)識的更多,使自己未踏入社會就已體會社會更多方面。

        篇三:LED畢業(yè)實(shí)習(xí)報(bào)告格式

        光電工程重慶國際半導(dǎo)體學(xué)院

        畢業(yè)實(shí)習(xí)報(bào)告

        題目 _____________________________________

        系 別 __光電/國際半導(dǎo)體學(xué)院_

        專 業(yè) __電子信息科學(xué)與技術(shù)__

        班 級 ______1621101_________

        學(xué) 號 _____2011214758_______

        姓 名 _______唐琰___________

        指導(dǎo)評閱教師___________________

        成 績 _______________________

        填表時間年 月 日

        LED故障,不影響其他LED的工作,但成本會略高一點(diǎn)。另一種是直接恒流供電,LED串聯(lián)或并聯(lián)運(yùn)行。它的優(yōu)點(diǎn)是成本低一點(diǎn),還要解決某個LED故障,不影響其他LED運(yùn)行的問題。這兩種形式,在一段時間內(nèi)并存。多路恒流輸出供電方式,在成本和性能方面會較好。也許是以后的主流方向。

       。5).浪涌保護(hù) LED抗浪涌的能力是比較差的,特別是抗反向電壓能力。加強(qiáng)這方面的保護(hù)也很重要。有些LED燈裝在戶外,如LED路燈。由于電網(wǎng)負(fù)載的啟甩和雷擊的感應(yīng),從電網(wǎng)系統(tǒng)會侵入各種浪涌,有些浪涌會導(dǎo)致LED的損壞。因此LED驅(qū)動電源要有抑制浪涌的侵入,保護(hù)LED不被損壞的能力。

        (6).保護(hù)功能 電源除了常規(guī)的保護(hù)功能外,最好在恒流輸出中增加LED溫度負(fù)反饋,防止LED溫度過高。

       。7).防護(hù)方面 燈具外安裝型,電源結(jié)構(gòu)要防水、防潮,外殼要耐曬。

       。8).驅(qū)動電源的壽命要與LED的壽命相適配。

        (9).要符合安規(guī)和電磁兼容的要求。

        隨著LED的應(yīng)用日益廣泛,LED電源驅(qū)動的性能將越來越適合LED的要求。

        二、了解LED電源特性及優(yōu)勢

       。1)工作電壓低

        一般的工作電壓為3.0~3.6V。有一些工作電壓更低,如2.0、2.52.7V 等;也有一些 工作電壓為5V,還有少數(shù)12V 或28V 的特殊用途

        篇四:單片機(jī)led實(shí)習(xí)報(bào)告

        電氣控制柜安裝實(shí)習(xí)報(bào)告

        實(shí)習(xí)體會:

        在學(xué)校北苑實(shí)訓(xùn)工廠兩周的控制柜安裝實(shí)訓(xùn)無聲無息的結(jié)束了。這兩周的實(shí)訓(xùn)讓我學(xué)到了很多東西,不僅使我在理論上對電氣控制技術(shù)有了全新的認(rèn)識,在實(shí)踐能力上也得到了很大提高,真正地做到了學(xué)以致用,更學(xué)到了很多做人的道理,對我來說受益非淺。除此以外,我還學(xué)會了如何更好地與別人溝通,如何更好地去陳述自己的觀點(diǎn),如何說服別人認(rèn)同自己的觀點(diǎn)。第一次親身感受了所學(xué)知識與實(shí)際的應(yīng)用,理論與實(shí)際的相結(jié)合,讓我大開眼界。另外我還學(xué)到了一些做事的方法,在以后的工作中我會對工作中的每個細(xì)節(jié)檢查核對,對工作的經(jīng)驗(yàn)進(jìn)行總結(jié)分析,從而節(jié)省時間,提高效率。同時我會盡量使工作程序化、系統(tǒng)化、條理化、流水化,從而百尺竿頭更進(jìn)一步,達(dá)到新境界。電力行業(yè)是一個慎重的行業(yè),不能有粗心大意,需要我們特別注意安全,注重細(xì)節(jié),認(rèn)真對待工作的每一步驟。

        “紙上得來終覺淺,絕知此事要躬行!”在短暫的實(shí)習(xí)過程中,讓我深深的感覺到自己在實(shí)際運(yùn)用中的專業(yè)知識的匱乏,剛開始的一段時間里,對一些實(shí)訓(xùn)項(xiàng)目感到無從下手,茫然不知所措,這讓我感到非常的難過。書本上的知識總以為自己學(xué)得不錯,一旦接觸到實(shí)際,才發(fā)現(xiàn)自己知道的是多么少,這時才真正領(lǐng)悟到“學(xué)無止境”的含義。特別是我們電氣行業(yè),發(fā)展十分的迅速,新科技、新知識不斷進(jìn)步更新。很多新的產(chǎn)品應(yīng)用到了電氣領(lǐng)域,傳統(tǒng)的知識已經(jīng)不能夠很好的運(yùn)用到生產(chǎn)實(shí)踐中。在這一形勢下就更需要我們電氣專業(yè)的人員不斷學(xué)習(xí),擴(kuò)寬知識面。只有這樣我們才能迎接住新的挑戰(zhàn),才能立于不敗之地,不被社會所淘汰。

        “千里之行,始于足下”,這是一個短暫而又充實(shí)的實(shí)習(xí),我認(rèn)為對我走向社會起到了一個橋梁的作用,過渡的作用,是人生的一段重要的經(jīng)歷,也是一個重要步驟,對將來走上工作崗位也有著很大幫助。向他人虛心求教,遵守組織紀(jì)律和單位規(guī)章制度,與人文明交往等一些做人處世的基本原則都要在實(shí)際生活中認(rèn)真的貫徹,好的習(xí)慣也要在實(shí)際生活中不斷培養(yǎng)。這一段時間所學(xué)到的經(jīng)驗(yàn)和知識大多來自老師和同學(xué)們的教導(dǎo),這是我一生中的一筆寶貴財(cái)富。這次實(shí)習(xí)也讓我深刻了解到,在工作中和同學(xué)保持良好的關(guān)系是很重要的。做事首先要學(xué)做人,要明白做人的道理,如何與人相處是現(xiàn)代社會的做人的一個最基本的問題。對于自己這樣一個即將步入社會的人來說,需要學(xué)習(xí)的東西很多,他們就是最好的老師,正所謂“三人行,必有我?guī)煛,我們可以向他們學(xué)習(xí)很多知識、道理。 最后我認(rèn)為電氣專業(yè)的人員還必須具備一項(xiàng)重要素質(zhì)—攻堅(jiān)精神。在工作中我們難免會遇到各種復(fù)雜的問題,當(dāng)這些問題出現(xiàn)的時候我們也應(yīng)當(dāng)保持熱情,毫無畏懼,冷靜分析,找到問題所在,最終動手解決問題。正所謂干一行,愛一行,就是這個道理。

        篇五:電子工藝實(shí)習(xí)報(bào)告范文4篇

        電子工藝實(shí)習(xí)報(bào)告范文

        篇1:

        電子工藝實(shí)習(xí)報(bào)告范文

        一、實(shí)習(xí)時刻

        20xx年7月5日至20xx年7月9日,第十九周

        二、實(shí)習(xí)地點(diǎn)

        學(xué)海校區(qū)南四教120,電子工藝實(shí)訓(xùn)室(一)

        三、實(shí)習(xí)目的

        1、透過本課題設(shè)計(jì)中對HX203FM/AM集成電路電話機(jī)的安裝、焊接及調(diào)試,讓學(xué)生了解電子產(chǎn)品的裝配過程;

        2、掌握電子元器件的識別及質(zhì)量檢驗(yàn);

        3、學(xué)習(xí)整機(jī)的裝配工藝;培養(yǎng)動手潛質(zhì)及嚴(yán)謹(jǐn)?shù)墓ぷ髯黠L(fēng)。

        四、實(shí)習(xí)資料(好朋友網(wǎng)名)

        1、印刷電路板

        印刷電路板(Printedcircuitboard,PCB)幾乎會出此刻每一種電子設(shè)備當(dāng)中。如果在某樣設(shè)備中有電子零件,那么它們也都是鑲在大小各異的PCB上。除了固定各種小零件外,PCB的主要功能是帶給上頭各項(xiàng)零件的相互電氣連接。隨著電子設(shè)備越來越復(fù)雜,需要的零件越來越多,PCB上頭的線路與零件也越來越密集了。

        標(biāo)準(zhǔn)的PCB上頭沒有零件,也常被稱為“印刷線路板PrintedWiringBoard(PWB)”。板子本身的基板是由絕緣隔熱、并不易彎曲的材質(zhì)所制作成。在表面能夠看到的細(xì)小線路材料是銅箔,原本銅箔是覆蓋在整個板子上的,而在制造過程中部份被蝕刻處理掉,留下來的部份就變成網(wǎng)狀的細(xì)小線路了。這些線路被稱作導(dǎo)線(conductorpattern)或稱布線,并用來帶給PCB上零件的電路連接。

        為了將零件固定在PCB上方,我們將它們的接腳直接焊在布線上。在最基本的PCB(單面板)上,零件都集中在其中一面,導(dǎo)線則都集中在另一面。這么一來我們就需要在板子上打洞,這樣接腳才能穿過板子到另一面,因此零件的接腳是焊在另一面上的。正因如此,PCB的正反面分別被稱為零件面(ComponentSide)與焊接面(SolderSide)。

        如果PCB上頭有某些零件,需要在制作完成后也能夠拿掉或裝回去,那么該零件安裝時會用到插座(Socket)。由于插座是直接焊在板子上的,零件能夠任意的拆裝。

        如果要將兩塊PCB相互連結(jié),一般我們都會用到俗稱「金手指」的邊接頭

       。╡dgeconnector)。金手指上包含了許多裸露的銅墊,這些銅墊事實(shí)上也是PCB布線的一部份。通常連接時,我們將其中一片PCB上的金手指插進(jìn)另一片PCB上適宜的插槽上(一般

        叫做擴(kuò)充槽Slot)。在計(jì)算機(jī)中,像是顯示卡,聲卡或是其它類似的界面卡,都是借著金手指來與主機(jī)板連接的。

        PCB上的綠色或是棕色,是阻焊漆(soldermask)的顏色。這層是絕緣的防護(hù)層,能夠保護(hù)銅線,也能夠防止零件被焊到不正確的地方。在阻焊層上另外會印刷上一層絲網(wǎng)印刷面(silkscreen)。通常在這上方會印上文字與符號(大多是白色的),以標(biāo)示出各零件在板子上的位置。絲網(wǎng)印刷面也被稱作圖標(biāo)面(legend)。

        印刷電路板將零件與零件之間復(fù)雜的電路銅線,經(jīng)過細(xì)致整齊的規(guī)劃后,蝕刻在一塊板子上,帶給電子零組件在安裝與互連時的主要支撐體,是所有電子產(chǎn)品不可或缺的基礎(chǔ)零件。印刷電路板以不導(dǎo)電材料所制成的平板,在此平板上通常都有設(shè)計(jì)預(yù)鉆孔以安裝芯片和其它電子組件。組件的孔有助于讓預(yù)先定義在板面上印制之金屬路徑以電子方式連接起來,將電子組件的接腳穿過PCB后,再以導(dǎo)電性的金屬焊條黏附在PCB上而構(gòu)成電路。(形容老師)

        2、電阻

        用導(dǎo)體制成具有必須阻值的元件。

        電阻是導(dǎo)體的一種基本性質(zhì),與導(dǎo)體的尺寸、材料、溫度有關(guān)。

        作用:主要職能就是阻礙電流流過,應(yīng)用于限流、分流、降壓、分壓、負(fù)載與電容配合作濾波器及阻匹配等。

        i按阻值特性:固定電阻、可調(diào)電阻、特種電阻(敏感電阻)。

        不能調(diào)節(jié)的,我們稱之為固定電阻,而能夠調(diào)節(jié)的,我們稱之為可調(diào)電阻。常見的例如收音機(jī)音量調(diào)節(jié)的,主要應(yīng)用于電壓分配的,我們稱之為電位器。

        ii按制造材料:碳膜電阻、金屬膜電阻、線繞電阻等。

        iii按安裝方式:插件電阻、貼片電。

        電阻主要參數(shù):阻值,精度,溫度系數(shù)(溫漂TCR),封裝大小。

        3、電位器(心情文章)

        電位器是一種可調(diào)的電子元件。它是由一個電阻體和一個轉(zhuǎn)動或滑動系統(tǒng)組成。當(dāng)電阻體的兩個固定觸電之間外加一個電壓時,透過轉(zhuǎn)動或滑動系統(tǒng)改變觸點(diǎn)在電阻體上的位置,在動觸點(diǎn)與固定觸點(diǎn)之間便可得到一個與動觸點(diǎn)位置成必須關(guān)聯(lián)的電壓。它大多是用作分壓器,這是電位器是一個四端元件。電位器基本上就是滑動變阻器,有幾種樣式,一般用在音箱音量開關(guān)和激光頭功率大小調(diào)節(jié)。

        4、電容

        電容就是兩塊導(dǎo)體(陰極和陽極)中間夾著一塊絕緣體(介質(zhì))構(gòu)成的電子元件。電容的種類首先要按照介質(zhì)種類來分。這當(dāng)中可分為無機(jī)介質(zhì)電容器、有機(jī)介質(zhì)電容器和電解電容器三大類。不一樣介質(zhì)的電容,在結(jié)構(gòu)、成本、特性、用途方面都大不相同。

        主要作用如下:

        i隔直流:作用是阻止直流透過而讓交流透過。

        ii旁路(去耦):為交流電路中某些并聯(lián)的元件帶給低阻抗通路。

        iii耦合:作為兩個電路之間的連接,允許交流信號透過并傳輸?shù)较乱患夒娐穒v濾波:這個對DIY而言很重要,顯卡上的電容基本都是這個作用。

        v溫度補(bǔ)償:針對其它元件對溫度的適應(yīng)性不夠帶來的影響,而進(jìn)行補(bǔ)償,改善電路的穩(wěn)定性。

        vi計(jì)時:電容器與電阻器配合使用,確定電路的時刻常數(shù)。

        vii調(diào)諧:對與頻率相關(guān)的電路進(jìn)行系統(tǒng)調(diào)諧,比如手機(jī)、收音機(jī)、電視機(jī)。viii整流:在預(yù)定的時刻開或者關(guān)半閉導(dǎo)體開關(guān)元件。

        ix儲能:儲存電能,用于務(wù)必要的時候釋放。例如相機(jī)閃光燈,加熱設(shè)備等等。(如今某些電容的儲能水平已經(jīng)接近鋰電池的水準(zhǔn),一個電容儲存的電能能夠供一個手機(jī)使用一天。

        5、濾波器

        對特定頻率的頻點(diǎn)或該頻點(diǎn)以外的頻率進(jìn)行有效濾除的電路,就是濾波器。濾波器的功能就是允許某一部分頻率的信號順利的透過,而另外一部分頻率的信號則受到較大的抑制,它實(shí)質(zhì)上是一個選頻電路。

        濾波器中,把信號能夠透過的頻率范圍,稱為通頻帶或通帶;反之,信號受到很大衰減或完全被抑制的頻率范圍稱為阻帶;通帶和阻帶之間的分界頻率稱為截止頻率;理想濾波器在通帶內(nèi)的電壓增益為常數(shù),在阻帶內(nèi)的電壓增益為零;實(shí)際濾波器的通帶和阻帶之間存在必須頻率范圍的過渡帶。

        6、發(fā)光二極管

        發(fā)光二極管(LightEmittingDiode,LED),是一種半導(dǎo)體組件。初時多用作為指示燈、顯示板等;隨著白光LED的出現(xiàn),也被用作照明。它被譽(yù)為21世紀(jì)的新型光源,具有效率高,壽命長,不易破損等傳統(tǒng)光源無法與之比較的優(yōu)點(diǎn)。加正向電壓時,發(fā)光二極管能發(fā)出單色、不連續(xù)的光,這是電致發(fā)光效應(yīng)的一種。改變所采用的半導(dǎo)體材料的化學(xué)組成成分,可使發(fā)光二極管發(fā)出在近紫外線、可見光或紅外線的光。1955年,美國無線電公司

       。≧adioCorporationofAmerica)的魯賓?布朗石泰(RubinBraunstein)(1922年生)首次發(fā)現(xiàn)了砷化鎵(GaAs)及其它半導(dǎo)體合金的紅外放射作用。1962年,通用電氣公司的尼克?何倫亞克(NickHolonyakJr。)(1928年生)開發(fā)出第一種實(shí)際應(yīng)用的可見光發(fā)光二極管。

        五、心得體會

        本次實(shí)習(xí)算是在大學(xué)中繼金工實(shí)習(xí)后的又一個很重要的實(shí)習(xí)課,時刻為期一周。一開始老師說這次的實(shí)習(xí)課很簡單,對于我來說,正因我記得在初中時焊接過,因此就覺得不是個性的難,第一天透過老師的講解,雖然聽的不是很懂,但是還是跟著老師的步驟一步一步來,正因很久沒焊了,因此一開始焊的時候有些緊張,手有點(diǎn)發(fā)抖,因此第一天是在尋找和摸索焊接的感覺中度過,焊的器件不是很多,下課后我總結(jié)了一下原因,一是由于新的芯片,新

        的嘗試,因此耽誤了時刻,遲遲不敢往下焊接器件,二是盲目的跟從,對于器件在哪些位置,如何放置不大熟悉,還需要和同學(xué)討論才能得出結(jié)果,因此第一天浪費(fèi)的時刻比較多,也耽誤了后面的進(jìn)度。經(jīng)過第一天的摸索,很期盼第二天上課的到來,由于吸取了第一天的經(jīng)驗(yàn),因此做起來也快了些,電阻電容的焊接基本都在第二天完成的,時刻不知不覺的在你焊接的過程中過去了,當(dāng)老師說下課的時候,還意猶未盡,還想繼續(xù)焊接,總的來說對于第二天的表現(xiàn)比較滿意。到了第三天,之后昨日的工作,繼續(xù)焊接,正因感覺焊接的差不多了,因此就去測試一下電路板上的二極管能不能亮,測試下來,二極管沒有亮,詢問過后,得知這些都是自己焊接的問題,需要自行檢測,因此我修補(bǔ)了之前我覺得自己焊

        的不好的地方,精益求精。由于這兩天天氣比較潮濕,使得我們工作的銅圈上出了氧化反應(yīng),使得錫無法正常的焊到器件上,這讓我花費(fèi)不少時刻,但在老師的指導(dǎo)下,把上方氧化的部分磨掉后,又能正常的工作,看到我的二極管發(fā)光了,我感覺很高興,這是我自己付出發(fā)奮勞動的結(jié)果,我堅(jiān)信大家都是一樣的感覺。最后一天是繼續(xù)完成還沒有完成的工作,把電話機(jī)的外殼安裝等工作,最后測試,電話鈴響了卻不能通話,這讓我有些遺憾,但是我還是覺得很欣慰,畢竟是自己親手做的東西嘛,因此就義不容辭的買下來了,當(dāng)做一種紀(jì)念與回憶,真的很享受這樣的過程,感覺真的很棒!從本次實(shí)習(xí)課中我收獲了以下幾個方面的知識:第一,當(dāng)你碰到困難不好害怕,勇敢向前,不好氣餒,再接再勵,結(jié)果會是完美的。第二,讓我感覺到同學(xué)之間互幫互助,齊心協(xié)力,團(tuán)結(jié)向上的氛圍,在焊接的過程中,有些器件自己的手不夠用,透過同學(xué)的幫忙,成功的焊接了自己的器件,體現(xiàn)的是同學(xué)間的友誼和凝聚力。第三,透過本次電子工藝實(shí)習(xí)制作集成電路電話機(jī),讓我了解了電話機(jī)的安裝、焊接、調(diào)試以及電子元件的功能作用等。透過實(shí)習(xí),親手制作電話機(jī),讓我知道了電阻如何從外觀了解其阻值的大小,電容的不一樣種類與功能,焊接時如何準(zhǔn)確把握焊

        接點(diǎn)的位置,需要焊錫的多少等方面的知識。最后對于自己小小的意見,在今后的工作中必須改正急功近利的心態(tài),務(wù)必要求任何工作都保質(zhì)保量的完成,發(fā)現(xiàn)自身的不足,也是一件很完美的事情。以上就是我的一些心得體會。

        篇2:

        電子工藝實(shí)習(xí)報(bào)告(收音機(jī))

        發(fā)布時刻:2012-04-20|0人推薦我來投稿

        一、目的好處

        熟悉手工焊錫的常用工具的使用及其維護(hù)與修理;菊莆帐止る娎予F的焊接技術(shù),能夠獨(dú)立的完成簡單電子產(chǎn)品的安裝與焊接。熟悉電子產(chǎn)品的安裝工藝的生產(chǎn)流程,印制電路板設(shè)計(jì)的步驟和方法,手工制作印制電板的工藝流程,能夠根據(jù)電路原理圖,元器件實(shí)物。了解常用電子器件的類別、型號、規(guī)格、性能及其使用范圍,能查閱有關(guān)的電子器件圖書。能夠正確識別和選用常用的電子器件,并且能夠熟練使用普通萬用表和數(shù)字萬用表。了解電子產(chǎn)品的焊接、調(diào)試與維修方法。透過收音機(jī)的通電監(jiān)測調(diào)試,了解一般電子產(chǎn)品的生產(chǎn)調(diào)試過程,初步學(xué)習(xí)調(diào)試電子產(chǎn)品的方法,培養(yǎng)檢測潛質(zhì)及一絲不茍的科學(xué)作風(fēng)。

        二、原理

        天線收到電磁波信號,經(jīng)過調(diào)諧器選頻后,選出要接收的電臺信號。同時,在收音機(jī)中,有一個本地振蕩器,產(chǎn)生一個跟接收頻率差不多的本振信號,它跟接收信號混頻,產(chǎn)生差頻,這個差頻就是中頻信號。中頻信號再經(jīng)過中頻選頻放大,然后再檢波,就得到了原來的音頻信號。音頻信號透過功率放大之后,就可送至揚(yáng)聲器發(fā)聲了。天線接收到的高頻信號透過輸入電路與收音機(jī)的本機(jī)振蕩頻率(其頻率較外來高頻信號高一個固定中頻,我國中頻標(biāo)準(zhǔn)規(guī)定為465KHZ)一齊送入變頻管內(nèi)混合一一變頻,在變頻級的負(fù)載回路(選頻)產(chǎn)生一個新頻率即透過差頻產(chǎn)生的中頻,中頻只改變了載波的頻率,原來的音頻包絡(luò)線并沒有改變,中頻信號能夠更好地得到放大,中頻信號經(jīng)檢波并濾除高頻信號。再經(jīng)低放,功率放大后,推動揚(yáng)聲器發(fā)出聲音。

        三、安裝調(diào)試

        1。檢測

       。1)通電前的預(yù)備工作。

       。2)自檢,互檢,使得焊接及印制板質(zhì)量到達(dá)要求,特殊注意各電阻阻值是否與圖紙相同,各三極管、二極管是否有極性焊錯,位置裝錯以及電路板銅箔線條斷線或短路,焊接時有無焊錫造成電路短路現(xiàn)象。

       。3)接入電源前務(wù)必檢查電源有無輸出電壓(3V)和引出線正負(fù)極是否準(zhǔn)確。初測。

       。4)接入電源(注意+、-極性),將頻率盤撥到530KHZ無臺區(qū),在收音機(jī)開關(guān)不打開的狀況下首先測量整機(jī)靜態(tài)工作總電流。然后將收音機(jī)開關(guān)打開,分別測量三極管T1~T6的E、B、C三個電極對地的電壓值(即靜態(tài)工作點(diǎn)),將測量結(jié)果填到實(shí)習(xí)報(bào)告中。測量時注意防止表筆將要測量的點(diǎn)與其相鄰點(diǎn)短接。

        2、調(diào)試

        經(jīng)過通電檢查并正常發(fā)聲后,可進(jìn)行調(diào)試工作。

        篇六:電工實(shí)習(xí)報(bào)告范文

        電工實(shí)習(xí)報(bào)告范文

        電工實(shí)習(xí)總結(jié)報(bào)告

        我班為期一周的電工實(shí)習(xí)剛剛結(jié)束,在此特發(fā)一篇電工實(shí)習(xí)總結(jié)報(bào)告,希望會對同學(xué)們有所幫助。

        一、實(shí)習(xí)目的

        使我們對電氣元件及電工技術(shù)有一定的感性和理性認(rèn)識,對電工技術(shù)等方面的專業(yè)知識做進(jìn)一步的理解。同時,通過實(shí)習(xí)獲得實(shí)際生產(chǎn)知識和安裝技能,繼電器控制線路及其元件的工作原理等電工技術(shù)知識,培養(yǎng)學(xué)生理論聯(lián)系實(shí)際的能力,提高分析問題和解決問題的能力,增強(qiáng)獨(dú)立工作能力,培養(yǎng)學(xué)生團(tuán)結(jié)合作,共同探討,共同前進(jìn)的精神。

        二、 時間安排

        時間 任務(wù)

        星期一 上午

        1.明確實(shí)習(xí)目的、內(nèi)容、方式要求和進(jìn)度

        2.學(xué)習(xí)基本工具的使用,電路安裝的基本常識

        下午 自我了解

        星期二

        上午 自學(xué)

        下午 自學(xué)

        星期三 上午 學(xué)習(xí)并安裝電動機(jī)的傳動和點(diǎn)動控制電路

        下午 學(xué)習(xí)并安裝電動機(jī)的順序控制電路

        星期四 上午 學(xué)習(xí)并安裝電動機(jī)的逆反轉(zhuǎn)控制電路

        下午 檢查電路

        星期五 通電

        三、 實(shí)習(xí)內(nèi)容

        1

        電動機(jī)的傳動和點(diǎn)動控制電路

        (1)目的要求

        a.了解繼電器的工作原理,并掌握其接線方法;

        b.了解電動機(jī)的傳動和點(diǎn)動控制。

        (2)線路圖:

        原理:KM1回路為點(diǎn)動控制電路,按下綠色按鈕。KM1線圈通電,松開綠色按鈕,KM1線圈斷電;KM2回路為傳動控制電路,按下黑色按鈕,KM2通電并自鎖,KM2線圈通電,松開黑色按鈕,KM2線圈不會斷電,停止時按紅色按鈕。

        (3)步驟:

        a.按圖接好導(dǎo)線;

        b.檢查線路,確認(rèn)無誤后通電;

        c.按下后再松開綠色按鈕,觀察KM1的現(xiàn)象,按下后再松開黑色按鈕,觀察KM2的現(xiàn)象,最后按下紅色按鈕,記錄實(shí)驗(yàn)現(xiàn)象;

        d.切斷電源,拆除導(dǎo)線。

        4.電動機(jī)的順序控制電路

        (1)目的要求

        a.了解繼電器的順序控制原理,掌握其接線方法;

        b.加深對繼電器工作原理的理解。

        (2)線路圖:

        原理:需要KM2線圈通電時,必須先按下綠色按鈕,KM1通電并自鎖,串聯(lián)在KM2線圈回路的KM1也通電并自鎖,再按下黑色按鈕,KM2通電并自鎖,KM2線圈帶電,保證KM2帶電前必須先讓KM1帶電,停止時按紅色按鈕。

        (3)步驟:

        a.按圖接好電路;

        b.檢查電路,確認(rèn)無誤后通電;

        c.先按下綠色按鈕,再按下黑色按鈕,觀察現(xiàn)象;按下紅色按鈕,再直接按黑色按鈕,觀察并記錄現(xiàn)象;

        d.切斷電源,拆除導(dǎo)線。

        5.電動機(jī)的逆反轉(zhuǎn)控制電路

        (1)目的要求

        a.了解繼電器的逆反轉(zhuǎn)控制控制原理,掌握其接線方法;

        b.通過操作加深對繼電器工作原理的理解;

        c.能夠組織復(fù)雜的接線。

        (2)接線圖:

        原理:需要KM1帶電時,按下綠色按鈕,KM1通電并自鎖,KM1線圈帶電,串聯(lián)在KM2線圈回路的KM1常閉觸點(diǎn)斷開,保證KM1與KM2線圈不同時帶電。需要KM2線圈帶電時,先按紅色按鈕停止,KM1斷開,按下黑色按鈕,KM2通電并自鎖,KM2線圈帶電,串聯(lián)在KM1回路的KM2常閉觸點(diǎn)斷開,保證KM2與KM1也不同時帶電。

        (3)步驟:

        a.按圖連接好導(dǎo)線;

        b.檢查線路,確認(rèn)無誤后通電;

        c.按順序,先按綠色按鈕,再按下黑色按鈕,觀察現(xiàn)象;然后按紅色按鈕,反過來,先按黑色按鈕,再按綠色按鈕,觀察并記錄實(shí)驗(yàn)現(xiàn)象;

        d.切斷電源,拆除導(dǎo)線,歸還實(shí)驗(yàn)儀器。

        四、 實(shí)習(xí)總結(jié)

        通過這一個星期的電工技術(shù)實(shí)習(xí),我得到了很大的收獲,這些都是平時在課堂理論學(xué)習(xí)中無法學(xué)到的,我主要的收獲有以下幾點(diǎn):

        1.掌握了幾種基本的電工工具的使用,導(dǎo)線與導(dǎo)線的連接方法,導(dǎo)線與接線柱的連接方法,了解了電路安裝中走線、元件布局等基本常識;

        2.了解了電動機(jī)傳動和點(diǎn)動控制、順序控制、逆反轉(zhuǎn)控制的概念和原理,掌握了交流繼電器的原理和接線方法;

        3.本次實(shí)習(xí)能大大增強(qiáng)了我們的團(tuán)隊(duì)合作精神,培養(yǎng)了我們的動手實(shí)踐能力和細(xì)心嚴(yán)謹(jǐn)?shù)淖黠L(fēng)。

        這一周的時間,我學(xué)到了很多東西,不僅有學(xué)習(xí)方面的,更學(xué)到了很多做人的道理,對我來說受益非淺。這對我今后踏入新的工作崗位是非常有益的。除此以外,我還學(xué)會了如何更好地與別人溝通,如何更好地去陳述自己的觀點(diǎn),如何說服別人認(rèn)同自己的觀點(diǎn)。相信這些寶貴的經(jīng)驗(yàn)會成為我今后成功的最重要的基石。實(shí)習(xí)是每一個大學(xué)畢業(yè)生必須擁有的一段經(jīng)歷,它使我們在實(shí)踐中了解社會,讓我們學(xué)到了很多在課堂上根本就學(xué)不到的知識,也打開了視野,增長了見識,為我們以后更好地服務(wù)社會打下了堅(jiān)實(shí)的基礎(chǔ)。

        電工電子實(shí)習(xí)報(bào)告

        首先,很感謝學(xué)校給予我們這次電工實(shí)習(xí)的機(jī)會,讓我們可以對電工電子有進(jìn)一步的了解。這次的電工電子實(shí)習(xí)無疑是給我們文科生一次更深入接觸了解理工知識的機(jī)會,更鍛煉了我們的實(shí)踐能力。

        其次,本人就對此次為期兩天的電工電子實(shí)習(xí)作一下簡要的報(bào)告與總結(jié):

        一、.實(shí)習(xí)內(nèi)容

        1.安全用電常識

        2.試電筆、萬用表使用介紹

        3.焊接及拆焊技術(shù)

        4.電子元器件檢測

        5.工藝實(shí)訓(xùn)

        二.實(shí)習(xí)過程

        1.安全用電常識。通過老師精辟的課堂講授,讓我們可以更加全面地知道安全用電是有很多規(guī)矩的。其中有人體觸電的形式:單相觸電,兩相觸電,跨步電壓觸電。還有有關(guān)觸電急救的方式。

        2.試電筆、萬用表使用介紹。其中包括試電筆的結(jié)構(gòu)還有試電筆的使用方法以及其

        正確握法。我們還用試電筆測量三相四線插座、單相三線插座的插孔,以及“三相調(diào)壓輸出”中各接線柱,判別火線與中線。我們還使用萬用表測電阻、測交流電壓、測直流電壓、測直流電流。

        3.焊接及拆焊技術(shù)。我們通過動手拆裝LED燈,真切實(shí)在地感受到焊接與拆焊技術(shù)的一些步驟及知識。

        1>、拆焊的過程:首先就是要將焊件加熱,然后融化焊件上的適量焊錫,等兩極的焊錫都融化了,就迅速地將LED燈拆出來。

        2>、焊接的過程:再者就是將LED燈安裝在新的電路板上。首先,將烙鐵頭和焊錫絲接近,處于隨時可焊接的狀態(tài),同時還要確認(rèn)位置;然后,將烙鐵頭放在電路板上進(jìn)行加熱;其次,焊錫絲放在加熱后的電路板上,融化適量的焊錫,焊錫融化后迅速移開焊錫絲;再者,等焊錫布滿電路板后移開烙鐵。

        4.電子元器件檢測。我們通過對一些基本的電子元件的了解和識別,這緊密聯(lián)系著我們?nèi)粘I钪械碾娖鞯臉?gòu)造以及使用。我們認(rèn)識了各有效數(shù)字的電阻色環(huán)顏色所代表的數(shù)字、意義。還有就是對LED燈的檢測。

        5.工藝實(shí)訓(xùn)。在這實(shí)訓(xùn)過程中,我們自己動手焊接出一個工藝作品。首先我們要將老師發(fā)下的銅絲做一下表面清潔,因?yàn)殂~絲上有一層氧化膜,必須將其清理干凈,以確保焊接的質(zhì)量。其次就是要將銅絲切割、定型。因?yàn)楹稿a凝固的很快,所以就要求我們有很強(qiáng)的動手能力,以及應(yīng)變能力。

        三.實(shí)習(xí)收獲、體會及建議

        期兩天的電工電子實(shí)習(xí)很快就結(jié)束了,通過此次實(shí)習(xí),本人深感收獲甚深。

        1、對電子元件有了初步的了解。我們了解到了焊普通元件與電路元件的技巧、電路板圖的工作原理與組成元件的作用等。這些知識不僅在課堂上有效,在日常生活中更是有著現(xiàn)實(shí)意義。

        2、實(shí)踐出真知。通過動手拆裝LED燈,我們掌握了一些基本的焊接以及拆焊的技術(shù)。這些技術(shù)看書是很難掌握的,而實(shí)踐使我們對此有深刻的印象。這和我們學(xué)習(xí)專業(yè)知識一樣,光靠看懂書本知識是不夠的,還要通過實(shí)踐、實(shí)習(xí)來掌握和運(yùn)用它。這無疑也提高了我們解決問題的能力,在實(shí)踐中可能會出現(xiàn)一些小插曲,就要求我們有一定的解決問題的能力。

        3、不斷的學(xué)習(xí),不斷充實(shí)自己。電工電子實(shí)習(xí),從聽覺上給我的感受就是理科生應(yīng)該具備的知識。但是通過接觸和了解,我發(fā)現(xiàn)我的理解有偏差。因?yàn)閷W(xué)習(xí)面前是沒有界限劃分的,多了解不同范疇的知識,能不斷地充實(shí)自己,提升自己。

        最后就是要感謝試驗(yàn)老師對我們的教育和指導(dǎo)。

        篇七:LED顯示屏實(shí)訓(xùn)報(bào)告(論文形式)

        LED漢字顯示牌

        目錄

        1.1 摘要………………………………………………………………………………………………1

        1.2 引言……………………………………………………………………………………………….1

        1.3 特點(diǎn)……………………………………………………………………………………………….1

        1.4 AT89C51芯片介紹....................................................................................................................... 2

        1.4.1 主要特性.............................................................................................................................3

        1.4.2 振蕩器特性..........................................................................................................................4

        1.4.3 管腳說明..............................................................................................................................5

        1.4.4 芯片擦除………………………………………………………………………………….6

        1.5 軟件組成及設(shè)計(jì)………………………………………………………………………………….7

        1.5.1 8*8點(diǎn)陣LED顯示屏程序………………………………………………………………9

        1.6 硬件組成及設(shè)計(jì)………………………………………………………………………………….10

        1.6.1 硬件設(shè)計(jì)圖……………………………………………………………………………….15

        1.7 總結(jié)……………………………………………………………………………………………….17

        1.8 參考文獻(xiàn)………………………………………………………………………………………….17

        1.1 摘要

        介紹一種實(shí)用的LED點(diǎn)陣式顯示牌的設(shè)計(jì),利用MCS-51單片機(jī)對LED漢字顯示牌進(jìn)行控制,并且講述了LED點(diǎn)陣式漢字顯示牌的設(shè)計(jì)原理、電路制作方法,有利于通過實(shí)踐,掌握單片機(jī)的一般設(shè)計(jì)應(yīng)用及電路板的制作方法。系統(tǒng)具有設(shè)計(jì)簡單、成本低廉、可靠性高的特點(diǎn)。

        1.2 引言

        LED點(diǎn)陣是一種簡單的漢字顯示器件,具有廉價(jià)、易于控制實(shí)現(xiàn)、壽命長等特點(diǎn),廣泛應(yīng)用于各種公共場合,如車站、機(jī)場公告、公共汽車顯示牌等。對于LED的控制,可以采用數(shù)字電路實(shí)現(xiàn),其缺點(diǎn)是顯示的字符不容易更改,如果采用單片機(jī)控制,就可以在硬件電路不更改的情況下,通過修改單片機(jī)存儲器中的字符單元內(nèi)容來修正顯示字符,更具有廣泛性。LED顯示牌發(fā)展較快,其無論在成本和生產(chǎn)的社會效益等方面都有起獨(dú)特的優(yōu)勢。

        1.3 特點(diǎn)

        全面了解LED顯示屏特點(diǎn),是為了選擇高性價(jià)比LED顯示屏,與其它大屏幕終端顯示器相比,LED顯示屏主要有以下特點(diǎn)。

        a、亮度高:戶外LED顯示屏的亮度大于8000mcd/m2,是目前唯一能夠在戶外全天候使用的大型顯示終端;戶內(nèi)LED顯示屏的亮度大于2000md/m2。

        b、壽命長:LED壽命長達(dá)100,000小時(十年)以上,該參數(shù)一般都指設(shè)計(jì)壽命,亮度暗了也算;

        d、屏幕面積可大可小,小至不到一平米,大則可達(dá)幾百、上千平米;

        e、易與計(jì)算機(jī)接口,支持軟件豐富。

        f、常見大型顯示終端對比

        1.4 AT89C51芯片介紹

        AT89C51是一種帶4K字節(jié)閃爍可編程可擦除只讀存儲器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低電壓,高性能CMOS8位微處理器,俗稱。該器件采用ATMEL高密度非易失存儲器制造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的MCS-51指令集和輸出管腳相兼容。由于將多功能8位CPU和閃爍存儲器組合在單個芯片中,ATMEL的AT89C51是一種高效微控制器,為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價(jià)廉的方案。

        1.4.1 主要特性:

        ·與MCS-51 兼容

        ·4K字節(jié)可編程閃爍存儲器

        壽命:1000寫/擦循環(huán)

        數(shù)據(jù)保留時間:10年

        ·全靜態(tài)工作:0Hz-24Hz

        ·三級程序存儲器鎖定

        ·128*8位內(nèi)部RAM

        ·32可編程I/O線

        ·兩個16位定時器/計(jì)數(shù)器

        ·5個中斷源

        ·可編程串行通道

        ·低功耗的閑置和掉電模式

        ·片內(nèi)振蕩器和時鐘電路

        1.4.2 管腳說明:

        VCC:供電電壓。

        GND:接地。

        P0口:P0口為一個8位漏級開路雙向I/O口,每腳可吸收8TTL門。當(dāng)P1口的管腳第一次寫1時,被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIASH編程時,P0 口作為原碼輸入口,當(dāng)FIASH進(jìn)行校驗(yàn)時,P0輸出原碼,此時P0外部必須被拉高。

        P1口:P1口是一個內(nèi)部提供上拉的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門電流。P1口管腳寫入1后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時,將輸出,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗(yàn)時,P1口作為第八位地址接收。

        P2口:P2口為一個內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,輸出4個TTL門電流,當(dāng)P2口被寫“1”時,其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時,P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當(dāng)用于外部程序存儲器或16位地址外部數(shù)據(jù)存儲器進(jìn)行存取時,P2口輸出地址的高八位。在給出地址“1”時,它利用內(nèi)部上拉優(yōu)勢,當(dāng)對外部八位地址數(shù)據(jù)存儲器進(jìn)行讀寫時,P2口輸出其特殊功能寄存器的內(nèi)容。P2口在FLASH編程和校驗(yàn)時接收高八位地址信號和控制信號。

        P3口:P3口管腳是8個帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個TTL門電流。當(dāng)P3口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電平,P3口將輸出電流(ILL)這是由于上拉的緣故。

        P3口也可作為AT89C51的一些特殊功能口,如下表所示:

        口管腳 備選功能

        P3.0 RXD(串行輸入口)

        P3.1 TXD(串行輸出口)

        P3.2 /INT0(外部中斷0)

        P3.3 /INT1(外部中斷1)

        P3.4 T0(記時器0外部輸入)

        P3.5 T1(記時器1外部輸入)

        P3.6 /WR(外部數(shù)據(jù)存儲器寫選通)

        P3.7 /RD(外部數(shù)據(jù)存儲器讀選通)

        P3口同時為閃爍編程和編程校驗(yàn)接收一些控制信號。

        RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時,要保持RST腳兩個機(jī)器周期的高電平時間。

        ALE/PROG:當(dāng)訪問外部存儲器時,地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時,ALE端以不變的頻率周期輸出正脈沖信號,此頻率為振蕩器頻率的1/6。因此它可用作對外部輸出的脈沖或用于定時目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲器時,將跳過一個ALE脈沖。如想禁止ALE的輸出可在SFR8EH地址上置0。此時, ALE只有在執(zhí)行MOVX,MOVC指令是ALE才起作用。另外,該引腳被略微拉高。如果微處理器在外部執(zhí)行狀態(tài)ALE禁止,置位無效。

        /PSEN:外部程序存儲器的選通信號。在由外部程序存儲器取指期間,每個機(jī)器周期兩次/PSEN有效。但在訪問外部數(shù)據(jù)存儲器時,這兩次有效的/PSEN信號將不出現(xiàn)。

        /EA/VPP:當(dāng)/EA保持低電平時,則在此期間外部程序存儲器(0000H-FFFFH),不管是否有內(nèi)部程序存儲器。注意加密方式1時,/EA將內(nèi)部鎖定為RESET;當(dāng)/EA端保持高電平時,此間內(nèi)部程序存儲器。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。

        XTAL1:反向振蕩放大器的輸入及內(nèi)部時鐘工作電路的輸入。

        XTAL2:來自反向振蕩器的輸出。

        1.4.3 振蕩器特性:

        篇八:畢業(yè)實(shí)習(xí)報(bào)告格式(光電空白)

        光電工程重慶國際半導(dǎo)體學(xué)院

        畢業(yè)實(shí)習(xí)報(bào)告

        題目 _基于VHDL洗衣機(jī)控制系統(tǒng)設(shè)計(jì)

        系 別 ___ 專___________ 班 級 _____________ 學(xué) 號 ____________ 姓 名 _______________ 指導(dǎo)評閱教師_____________ 成 績 _______________________ 填表時間年 月 日

        篇九:8X8滾動漢字LED顯示器實(shí)習(xí)報(bào)告

        電子設(shè)計(jì)自動化

        專 業(yè):

        班 級: 姓 名: 學(xué) 號: 指導(dǎo)教師: 實(shí)習(xí)日期:

        目錄

        一、 實(shí)驗(yàn)題目………………..……………3 二、 實(shí)驗(yàn)?zāi)康摹?.3 三、實(shí)驗(yàn)原理………………….………….3

        1、 實(shí)驗(yàn)采用EDA點(diǎn)陣顯示漢字原理…………….…………….3 2、 硬件原理圖……………………………………………………4

        四.模塊設(shè)計(jì)………………………..……….4 1.8進(jìn)制計(jì)器……………………………………….………………..4 2.18進(jìn)制計(jì)數(shù)器……………………………………………………4 3.字顯示控制模塊………………………………..………………….5 五、 調(diào)試過程…………………………. 11 六、 實(shí)習(xí)心得……………………………11 七、 參考文獻(xiàn)………………………...….11

        一、 實(shí)驗(yàn)題目:滾動漢字LED顯示器 二、 實(shí)習(xí)目的

        1)硬件實(shí)訓(xùn)目的:

        1.熟悉EDA實(shí)驗(yàn)箱的基本工作原理。 2.熟悉并掌握EDA實(shí)驗(yàn)箱各個模式的功能。 3.提高學(xué)生的動手能力。 2)軟件實(shí)訓(xùn)目的:

        1. 熟悉并掌握quartus‖軟件的使用。

        2. 熟練的使用原理圖輸入設(shè)計(jì)方法,VHDL語言編寫程序,進(jìn)一步了解和掌握各個程序語言,提高編程的熟練程度。

        3. 掌握基本器件設(shè)計(jì)的方法思想,如計(jì)數(shù)器;掌握點(diǎn)陣LED顯示字的基本原理。

        4. 拓寬學(xué)生知識面,增強(qiáng)工程意識,培養(yǎng)學(xué)生的分析和解決實(shí)際問題的能力。

        5. 提高學(xué)生的動手能歷。 三、 實(shí)驗(yàn)原理:

        1、實(shí)驗(yàn)采用EDA點(diǎn)陣顯示漢字原理:

        以8×8的LED點(diǎn)陣為例,8×8的LED點(diǎn)陣是由64個發(fā)光二極管按矩陣形式排列而成,每一行上的發(fā)光管有一個公共的陽極(或陰極),每一列上的發(fā)光管有一個公共的陰極(或陽極),一般按動態(tài)掃描方式顯示漢字或圖形。掃描分為點(diǎn)掃描、行掃描和列掃描三種方式。行掃描需要按行抽取字型碼,列掃描則需要按列抽取字型碼。為滿足視覺暫留要求,若使用點(diǎn)掃描方式,其掃描頻率必須大于16×64=1 024Hz,周期小于1ms。若使用行或列掃描,則頻率必須大于16×8=128Hz,周期小于7.8ms。由于所用實(shí)驗(yàn)板上提供有1 kHz的時鐘,本例設(shè)計(jì)中利用該時鐘進(jìn)行掃描,使每行掃描時間為1 ms,實(shí)驗(yàn)結(jié)果顯示亮度合適。現(xiàn)以行掃描為例簡單說明動態(tài)掃描顯示的原理。圖1給出漢字“熱”在點(diǎn)陣為列共陽時的行字模。工作時先將要掃描行的點(diǎn)陣字模從各列上輸出,再讓譯碼器選中(掃描)該行,使本行得以顯示,接著再送下一行數(shù)據(jù),再使下一行有效,直到8行全被掃描一遍。至此,一幅完整的文

        字信息就顯現(xiàn)出來,然后再反復(fù)掃描這8行直至顯示新的信息。以下設(shè)計(jì)采用行掃描方式實(shí)現(xiàn)滾動顯示。

        2、硬件原理圖:

        整個電路由4部分組成,即:8進(jìn)制計(jì)數(shù)器、18進(jìn)制計(jì)數(shù)器、字顯示控制模塊、138譯碼器。

        四、 模塊設(shè)計(jì): 1. 8進(jìn)制計(jì)數(shù)器:

        8進(jìn)制計(jì)數(shù)器主要有兩個功能,首先作為138譯碼器的輸入,第二個是作為字顯示模塊的控制信號,控制選定的列掃描信號,程序如下: Library ieee;

        USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY js_8 IS

        Port(clk,re:in std_logic;

        q:out std_logic_vector(2 downto 0)); end js_8;

        architecture count_8 of js_8 is

        begin

        process(clk,re)

        variable tmp:std_logic_vector(2 downto 0); begin

        if re='1' then tmp:=(others=>'0'); elsif clk'event and clk='1' then if tmp<7 then tmp:=tmp+1;

        else tmp:=(others=>'0'); end if; end if; q<=tmp; end process; end count_8;

        2. 18進(jìn)制計(jì)數(shù)器:

        18進(jìn)制計(jì)數(shù)器同樣有兩個功能,第一他為138譯碼器輸入控制信號,是138譯碼器工作,第二控制字顯示控制模塊,控制字顯示控制模塊顯示的漢字的時序,主要程序如下: Library ieee;

        USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY js_18 IS

        Port(clk,re:in std_logic;

        q:out std_logic_vector(2 downto 0)); end js_8;

        architecture count_18 of js_18 is begin

        process(clk,re)

        variable tmp:std_logic_vector(2 downto 0); begin

        if re='1' then tmp:=(others=>'0'); elsif clk'event and clk='1' then if tmp<17 then tmp:=tmp+1;

        else tmp:=(others=>'0'); end if; end if; q<=tmp; end process;

        end count_18;

        3、字顯示控制模塊:

        字顯示控制模塊主要是實(shí)現(xiàn)字形的顯示功能,首先將要現(xiàn)實(shí)的字形代碼用點(diǎn)陣式的形式輸入程序,然后配合8進(jìn)制和12進(jìn)制計(jì)數(shù)器將要現(xiàn)實(shí)的字形碼輸入8*8的點(diǎn)陣,配合138

      【led實(shí)習(xí)報(bào)告樣本】相關(guān)文章:

      led廠的實(shí)習(xí)報(bào)告優(yōu)秀范文07-28

      機(jī)電實(shí)習(xí)報(bào)告樣本06-10

      電工實(shí)習(xí)報(bào)告經(jīng)典樣本06-11

      導(dǎo)購實(shí)習(xí)報(bào)告樣本06-11

      會計(jì)實(shí)習(xí)報(bào)告的樣本03-23

      會計(jì)實(shí)習(xí)報(bào)告樣本04-12

      暑期實(shí)習(xí)報(bào)告樣本06-12

      園林專業(yè)實(shí)習(xí)報(bào)告樣本04-12

      煤礦地質(zhì)實(shí)習(xí)報(bào)告樣本06-16